免费的VerilogA模拟器[关闭]


10

有很多免费的SPICE和Verilog模拟器,例如LTSPICE或TINA甚至WinSPICE。还有一些Verilog模拟器。但是,我正在寻找免费的VerilogA模拟器。有人认识吗?


2
我没有答案,但是我们对将Verilog-AMS(包括Verilog-A)添加到CircuitLab有一些要求。我们已经在支持模拟器中的行为来源方面做出了巨大的努力。您能否举例说明您要模拟哪种类型的系统?您有现有的VerilogA模型吗?
compumike

Answers:


2

GPL香料模拟器Ngspice具有称为ADMS的扩展,该扩展将Verilog-AMS代码编译为C代码,该代码可与香料模拟器使用的API一起使用。因此,您最终得到的东西可以编译成香料模拟器(例如Ngspice)可以模拟的可执行文件。

由于Verilog-A 显然只是Verilog-AMS的一个子集,因此这可能满足您的需求。


1

您可能会找到想要的东西:

http://atelecad.free.fr/

Advanced Spice + Model Verilog A编译器内置->许多改进功能->具有新的高级Verilog A功能以确保收敛性(模型初始化和限制功能)

原理图捕获(Xlib + Motif的2个版本)逻辑仿真

等等...


0

您应该尝试使用Dolphin Integration的SMASH,它是免费的,也可以尝试VeriWell模拟器


2
你尝试过吗?您能否提供一些有关使用这些工具的经验的详细信息?
clabacchio

海豚不是免费的,而Veriwell也不是模拟的。完全没有
EML 2014年
By using our site, you acknowledge that you have read and understand our Cookie Policy and Privacy Policy.
Licensed under cc by-sa 3.0 with attribution required.