以十六进制或十进制格式打印变量


100

当前,当我v在GDB(print v)中打印变量的值时,我得到一个整数。

GDB是否有可能以十六进制或二进制形式打印此类整数变量?

Answers:


167

当然是啦。试试这些:

# Hexadecimal
p/x variable

# Binary
p/t variable

请参阅输出格式


2
如果您使用的是DDD(数据显示调试器,像GDB这样的调试器的GUI包装器),则可以通过在图形显示中使用hex格式 graph disp /x val1。当心,你应该在前面放些空间/x。否则将无法正常工作。
灿金

3
并设置默认输出基数设置,请参阅stackoverflow.com/questions/6618670/...
灿金
By using our site, you acknowledge that you have read and understand our Cookie Policy and Privacy Policy.
Licensed under cc by-sa 3.0 with attribution required.