如何在Ubuntu上使用Verilog HDL?


8

我尝试从Verilog Hdl中的一些示例开始一门小课程,并花了很多时间寻找最佳的SimulatorIDE并通过一些示例进行实践后,我才弄清楚如何在Ubuntu 12.04上使用它

所以,我有两个问题:

  1. 如何在Ubuntu 12.04上使用Verilog?

  2. 适用于Linux的最佳模拟器(或IDE)是什么?

Answers:


3

您可以下载与Ubuntu兼容的SynpatiCAD的Linux Verilog模拟器。它包括命令行模拟器和图形IDE。安装后,您可以运行该工具并为模拟器申请6个月的免费许可证。


5

这篇文章对您有帮助吗?Linux(Ubuntu)上的Verilog和VHDL吗?

建议将Icarus Verilogiverilog在存储库中)用于Verilog仿真,将GHDL用于VHDL仿真,将GTKWavegtkwave在存储库中)用于波形查看。

如果要使用基于Eclipse的Verilog编辑器,请尝试使用veditor


但Veditor无法打开,我用JDK6打开了它,没有响应,或者有另一种启动方法
amrro 2012年

它不是一个独立的产品,而是一个eclipse插件。安装Eclipse,然后按照说明操作:sourceforge.net/apps/mediawiki/veditor/...
汤姆·雷格纳

好吧,我想要另一个帮助,,您能通过安装icarus verilog更新您的答案吗,我无法安装
amrro 2012年

ghdl给我那个错误...软件包ghdl不可用,但由另一个软件包引用。这可能意味着该软件包已丢失,已废弃或只能从其他来源获得。E:软件包“ ghdl”没有候选安装
amrro 2012年

啊-找到mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html ; 由于构建问题,ghdl已从debian ATM上删除,因此从12.04版开始也在ubuntu中删除;您可能想尝试自己编译(并通过checkinstall进行安装)-但通过它进行交谈/编写超出了我的时间限制
Tom Regner 2012年

1

您也可以将IDE环境用于FPGA开发。适用于Altera(Intel)FPGA的Altera Quartus或适用于Xilinx器件的Xilinx ISE。这些环境允许您编写VHDL和Verilog源代码,并包含模拟器。


尽管您打算将Verilog代码下载到实际的FPGA上,但我个人一直在使用Quartus Prime(足够好的IDE),但是您需要编辑udev规则以识别器件。
Sergiy Kolodyazhnyy

1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

要查看一切是否正常运行,请进行Verilog的问候。

nano hello.v

然后编写以下hello-world代码

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

然后编译

iverilog hello.v -o hello

最后运行你的代码

vvp hello

-1

在终端窗口中尝试以下命令:

sudo apt-get install gplcver

这不能回答问题。请详细说明您的答案。什么是gplcver?如何使用它?
埃里克·卡瓦略
By using our site, you acknowledge that you have read and understand our Cookie Policy and Privacy Policy.
Licensed under cc by-sa 3.0 with attribution required.