Questions tagged «simulation»

关于模拟电路的工具。指定使用的工具。

1
为什么我的运算放大器张弛振荡器不振荡?
我设计了一个带有运放的张弛振荡器。它应该以50Hz振荡,但不是。我尚未建立物理电路,我正在尝试在CircuitLab中对其进行仿真。 我用原理图中的电路元件值计算了振荡频率 F=(ŤC+Ťd)− 1= 50.17 ħž。F=(ŤC+Ťd)-1个=50.17Hž。 f = \left( T_c + T_d \right)^{-1} = 50.17Hz. 哪里, ŤCŤCT_c和是充电和放电分别电容器的倍;ŤdŤdT_d ŤC= R Cln⎛⎝⎜⎜⎜(+ 12 伏)-[R2[R1个+[R2(- 12 V)(+ 12 伏)-[R2[R1个+[R2(+ 12 伏)⎞⎠⎟⎟⎟= 9.97 米小号,Ťd= R Cln⎛⎝⎜⎜⎜[R2[R1个+[R2(+ 12 伏)- (- 12 伏)[R2[R1个+[R2(- 12 V)- (- 12 伏)⎞⎠⎟⎟⎟= 9.97 米小号。ŤC=[RCln⁡((+12V)-[R2[R1个+[R2(-12V)(+12V)-[R2[R1个+[R2(+12V))=9.97米s,Ťd=[RCln⁡([R2[R1个+[R2(+12V)-(-12V)[R2[R1个+[R2(-12V)-(-12V))=9.97米s。 T_c = RC \ln \left( \dfrac{(+12V) …

1
了解高压发生器电路
我在论坛上找到了一个有关3V至500V直流高压电源转换器的主题,有人建议用techlib 的盖格管高压发生器产生电路: 但是,当我尝试模拟它不起作用时,输出接近9V作为输入。在我绘制的示意图中,与所建议电路的唯一区别是,我使用了等效的2N4403晶体管和不同的二极管。我还尝试将其中一个绕组连接反向,但没有改变。有人可以解释一下该电路的工作原理以及二极管的选择如何影响输出?也许这也将帮助我了解模拟的问题。 有什么建议么?

1
TRS-80的盒式磁带输入-设计审查
我正在努力从TRS-80微型计算机(70年代的老式)的盒式磁带获得输入。这就是我的工作。它是Sallen-Key拓扑中具有2kHz滚降频率的二阶高通有源滤波器,具有统一的通带增益,我将其用作具有适当阈值电压的555定时器的输入。 我想知道是否有人对我有任何建议,技巧,陷阱,技巧,我错过的东西,笔记等,可以对设计有所帮助。 输出只是为了模拟目的而被转储到一个1Meg电阻器-我假设读取该电压的东西将具有足够高的输入阻抗,而不会使555定时器的输出负载。 我试图选择合理的值。如果有人感兴趣,我可以上传LTSpice原理图和波形! 谢谢大家! [编辑] R67是我以前使用的原始TRS80原理图的遗留物-它用于提供DC偏移的路径。;) [编辑2]更新了替代原理图-使用第二个运算放大器开环,更改了滤波器级的设计以提供一定的增益。如果第二个运算放大器具有足够低的内部电容性负载(比较器无需对此进行抗衡),它应该可以工作。我使用的是运算放大器,而不是比较器,因为我试图用一个芯片完成它。 任何意见表示赞赏!谢谢! [编辑3]我从Ambertec,PE,PC的顾问John Dunn那里收到了一些很棒的技巧,如果U1和U2在同一芯片上,并且U2被用作比较器,则可能会有一些问题。 引用约翰的话说:“取决于特定的器件属性,运算放大器的高和低输出电压饱和电平可能不接近电源电压。而且,从一个到另一个的转换可能包括振荡脉冲。真正的比较器不会有这些问题。 另一个棘手的问题是,对于某些双运放和四运放,如果一组中的一个设备装有导轨,则可能无法满足线性服务的运放规格。如果运放三号被用作比较器,并且处于高或低轨输出极限,运放部分之间可能存在不确定的交叉连接,这可能会破坏一号运放。” 约翰(John)是一位出色的人,在Linkedin上负责模拟开发小组的工作,他很友善,可以提供一些深刻的见解。:)

1
为什么移位寄存器的这种简单VHDL模式无法按预期工作
乍一看,您会期望下面的VHDL源代码充当移位寄存器。在那个q中,随着时间的流逝, "UUUU0", "UUU00", "UU000", "U0000", "00000", .... 但是它总是U在五个(或更多)连续时钟周期之后。 为什么是这样? 该代码实际上是复杂得多的模拟的简化版本。但这证明了我看到的症状。 它在ModelSim和ActiveHDL下的仿真过程中都显示出这一有趣且出乎意料的结果,我没有尝试过其他仿真器,并且(第二个原因的解释)想知道其他程序是否以相同的方式运行。 要正确回答此问题,您必须了解: 我知道这不是实现移位寄存器的最佳方法 我知道对于RTL综合,应该重新设置。 我知道std_logic的数组是std_logic_vector。 我知道聚合运算符&。 我还发现了: 如果将分配temp(0)<='0';移到流程中,则它将起作用。 如果循环已展开(请参见注释的代码),则它将起作用。 我要重申的是,这是一个更为复杂的设计(用于流水线CPU)的非常简化的版本,被配置为纯粹显示意外的仿真结果。实际信号类型只是一种简化。因此,您必须按照原样考虑代码中的答案。 我的猜测是,VHDL仿真引擎的优化器错误地(或按照规范)没有费心地在循环内运行表达式,因为没有外部变化的信号,尽管我可以通过将展开的循环置于循环中来证明这一点。 因此,我希望这个问题的答案更多地与模糊VHDL语法的VHDL仿真标准以及VHDL仿真引擎如何进行优化有关,而不是是否给出代码示例是完成某件事的最佳方法。 现在我要模拟的代码: library ieee; use ieee.std_logic_1164.all; entity test_simple is port ( clk : in std_logic; q : out std_logic ); end entity; architecture example of test_simple is type t_temp …


3
传输线模拟(物理)
我需要能够在较长的电线(0-10km)上模拟与传感器设备的通信。这是用于速度很慢的通讯(最大10khz,但通常为1-2khz)。这将是FSK ...但是在某些时候我可能还必须处理类似RS232的低波特率信号。 通常,我正在寻找电压降和信号失真。延迟没有多大关系。 你会怎么做? 编辑: 我已经能够确定电缆确实是(相当不标准的)同轴电缆类型。我现在知道每单位长度的电阻和电容,横截面的几何形状,以及绝缘电阻足够高而无所谓。最初还不清楚返回线是否是单独运行的。 这将是针对多个目标设备的测试设置。大多数是10khz以下各种频率选择的FSK,有些是ASK(在带通/滤波之后,您几乎可以使用标准UART)。所有人都靠着较高的直流偏移(功率交流)。 过去,我见过人们构建一个简单的旋转开关,该开关可以交换电阻器,电容器甚至电感器来模拟给定的线长。这样够好吗? 我目前正在尝试在LTspice中建立一些模拟。 编辑2: 好吧,如果我只添加电阻,电容和电感……该模型是什么样的?下面的RLGC网络假定地线的电位相同(我认为是安全的假设,带有接地层的PCB​​)。这种情况下的返回是通过外壳,其电阻可能比内部导体高3倍。这会显着改变事情吗?我是否只需在底轨上添加另一个电阻,并在其两侧分配电容?

7
您可以模拟原理图吗?
是否有将原理图作为输入并模拟其功能的软件? 我没有很多现金用于零件和工具,所以这对于我来说是了解更多电子产品的一种便宜而简便的方法。

4
绘制电位计电路“锥度”的最佳方法是什么?
问题在于设计具有平滑“律”或“锥度”的增益/音量/声像/淡入淡出/混合控件;转动时可听音量的变化率。(详见壶的秘密生活和更改罐法,例如。) 例如,很容易进行这样的控制,使增益在中间变化很小,然后在顶部急剧增加,但这并不好。 因此,我想“画出”电路,然后用对数或线性电位计绘制增益/衰减与电位计位置的函数关系,并能够改变分量值并快速查看对增益函数的影响。为了加快找到最佳布局/电阻值的速度。 目前,我执行以下操作之一: 在TINA-TI中模拟电路。这很烂,因为: 锅只有线性锥度 据我所知,没有任何方法可以绘制一些与底池位置有关的函数。您可以将电位器设置为控制对象,并从0%到100%逐步改变位置,但是我不知道绘制增益的方法。我只知道您可以在每个位置绘制频率响应,从频率响应图中读取增益,然后将它们放在电子表格中,这非常繁琐。 在数学程序(如wxMaxima或Python)中计算曲线并将其绘制。这很烂,因为: 这需要手动输入增益方程,这对于某些电路而言可能是乏味且容易出错的。您无法通过看一个复杂的方程式来判断它是否正确,而通过与现有电路并联添加电阻来修改它是困难的。 同样,绘制对数锥度罐很困难。您必须将锥度作为输入增益函数的单独函数输入,但它仍然与现实世界完全不匹配。 还有其他想法吗? 为了说明,这是我比较线性电位器,对数锥度电位器和线性电位器与“下拉电阻”以近似对数锥度的关系图。我想针对不同的下拉电阻值绘制黄色曲线,这样我就可以使其表现得尽可能接近其他曲线,而无需手动输入方程式。当然,我的实际应用程序更加复杂,但这只是我想要做的一个例子。 (从电子交易所复制)
By using our site, you acknowledge that you have read and understand our Cookie Policy and Privacy Policy.
Licensed under cc by-sa 3.0 with attribution required.