Questions tagged «verilog»

Verilog是用于对电子系统建模的硬件描述语言(HDL)。它最常用于数字逻辑芯片的设计,验证和实现。另请在适用时加上[fpga],[asic]或[verification]标记。许多Verilog问题的答案都是针对特定目标的。

9
FPGA上的新手项目?
已锁定。该问题及其答案被锁定,因为该问题是题外话,但具有历史意义。它目前不接受新的答案或互动。 我距离完成我的第一门大学数字逻辑设计课程还有两个星期的时间,而且显然不会有期末考试,只是一个乏味的期末考试。 因此,正如任何好奇的学生会做的那样,我研究了FPGA的实际含义以及在课堂上我一饱眼福。而且我决定完成一个简单的FPGA项目。我正在使用Basys2 Spartan-3E FPGA,并且对数字逻辑很熟悉,并且使用ISE来推动逻辑门,但是我不知道VHDL / Verilog(我敢肯定我会很容易地将其拾起)。 有没有人对初学者有任何项目构想?我做了很多实验室演示,但是没有什么花哨的。
11 fpga  design  vhdl  verilog 

3
有可综合的RTL的“设计模式”吗?
对于软件,《设计模式》一书是一组用于在软件中执行常见操作的模式,它为软件从业人员提供了通用术语,以描述他们需要创建的某些组件。 一般而言,是否存在用于可合成RTL或RTL的书籍或资源?诸如常见的陷阱,设计折衷,死锁注意事项和界面设计之类的事情。

3
如何在Verilog中截断表达式的位宽?
考虑如下表达式: assign x = func(A) ^ func(B); 其中func的输出为32位宽,x为16位线。我只想分配结果异或的最低16位。 我知道上面的代码已经做到了,但是它也会产生警告。“显而易见”的方法行不通: assign x = (func(A) ^ func(B))[15:0]; // error: '[' is unexpected
11 verilog 



4
使用时钟的两个边沿
我正在使用Verilog和Quartus II对Altera Cyclone IV进行编程。在我的设计中,我想同时使用时钟的两个边沿,以便可以用占空比为50%的奇数因子进行时钟分频。这是我的代码片段: always @(posedge low_jitter_clock_i or negedge low_jitter_clock_i or posedge reset_i) begin if(reset_i) begin fixed_clock <= 1'b0; divider_dummy <= 'b0; end else begin fixed_clock <= fixed_clock_next; divider_dummy <= divider_dummy_next; end end 现在,当我编译它时,Quartus II抛出以下错误: Verilog HDL始终在adc_clocking.v(83)处构造错误:事件控制无法同时测试变量“ low_jitter_clock_i”的正沿和负沿 如何在设计中同时使用给定时钟的上升沿和下降沿?

3
什么是时钟偏斜,为什么它为负?
我的HDL编译器(Quartus II)生成时序报告。在其中,节点具有“时钟偏斜”列。我发现的时钟偏斜的唯一定义是在TimeQuest文档中(请参阅第7-24页): 要为时钟到时钟的传输手动指定时钟不确定性或时滞,请使用set_clock_uncertainty命令。 因此,如果偏斜是“不确定性”,为什么我的某些时钟偏斜为负(例如-0.048)?时钟偏斜到底是什么?

3
免费的VerilogA模拟器[关闭]
关闭。这个问题是题外话。它当前不接受答案。 想改善这个问题吗? 更新问题,使其成为电气工程堆栈交换的主题。 5年前关闭。 有很多免费的SPICE和Verilog模拟器,例如LTSPICE或TINA甚至WinSPICE。还有一些Verilog模拟器。但是,我正在寻找免费的VerilogA模拟器。有人认识吗?

3
通用的免费Verilog综合工具?
是否有任何免费或开源的综合工具可将Verilog RTL转换为通用门网表?(由通用NAND,NOR,XOR,D触发器/寄存器等组成。不需要优化。)。如果不是完整的语言,那么如何使用RTL的“有用”子集(不仅仅是Verilog门级网表)?


2
PIC12F675 GP4不起作用
我正在为一个项目使用PIC12F675,除一件事情外,其他所有东西都工作正常。GP4不能用作数字IO。我已经看了很多配置和代码,但是找不到任何东西。 配置: #pragma config FOSC = INTRCCLK #pragma config WDTE = OFF #pragma config PWRTE = OFF #pragma config MCLRE = OFF #pragma config BOREN = ON #pragma config CP = OFF #pragma config CPD = OFF 码: #include <xc.h> #include <math.h> #include "config.h" #define _XTAL_FREQ 4000000 void delay(unsigned int …
9 pic  c  embedded  programming  audio  oscillator  spark  dc-dc-converter  boost  charge-pump  eagle  analog  battery-charging  failure  humidity  hard-drive  power-supply  battery-charging  charger  solar-energy  solar-charge-controller  pcb  eagle  arduino  voltage  power-supply  usb  charger  power-delivery  resistors  led-strip  series  usb  bootloader  transceiver  digital-logic  integrated-circuit  ram  transistors  led  raspberry-pi  driver  altium  usb  transceiver  piezoelectricity  adc  psoc  arduino  analog  pwm  raspberry-pi  converter  transformer  switch-mode-power-supply  power-electronics  dc-dc-converter  phase-shift  analog  comparator  phototransistor  safety  grounding  current  circuit-protection  rcd  batteries  current  battery-operated  power-consumption  power-electronics  bridge-rectifier  full-bridge  ethernet  resistance  mosfet  ltspice  mosfet-driver  ftdi  synchronous  fifo  microcontroller  avr  atmega  atmega328p  verilog  error  modelsim  power-supply  solar-cell  usb-pd  i2c  uart 

4
SystemC与HDL
我目前正在参与一个大学项目,以实现现有指令集的处理器。这个想法是,到项目结束时,我应该能够综合该设计并在FPGA中运行它。到目前为止,一切进展顺利,几天前,我开始在Verilog HDL中实施该设计,并且有了一个非常简单的版本。现在的问题是:我与一些从事硬件设计工作的人取得了联系,他们建议在继续之前,我应该转向使用SystemC进行实施。现在,让我非常困惑,因为这是我的想法: Verilog->作为一种HDL语言,专门针对硬件设计。具有结构和行为Verilog之类的某些抽象级别,但是它是指定设计的RTL的非常简洁的形式。绝对可以根据您选择的FPGA使用一堆工具对其进行综合(在我的情况下,我使用的是Xilinx Vivado 2014和Zynq板)。不利的一面是普通的Verilog没有提供足够的抽象来进行验证,这就是为什么您拥有诸如System Verilog之类的东西的原因。 SystemC->这是一个C ++类库,可以有效地引入C ++中的时间概念,并允许您进行事件驱动的仿真。显然,它比任何HDL都高级得多,并且这里的事情可以更快地实现。这使其成为用于诸如验证甚至实现模拟器之类的东西的非常好的工具,以便人们可以在实际制造东西之前就开始为芯片设计驱动程序和东西。显然,还有一个可综合的SystemC子集(我认为甚至Xilinx Vivado都可以做到这一点),并且在某些情况下,它使SystemC可以用于设计非常方便抽象的大型系统。 因此,我的问题是: 这些有关systemC和Verilog(或HDL语言,如果您喜欢的话)的概念正确吗? 什么时候应该使用SystemC?什么时候需要Verilog? 有人告诉我,即使在Verilog中,在实现的关键部分使用很多行为代码也可能会有些问题,因为从本质上讲,您对如何综合它的综合工具的约束要少得多。在较高的抽象水平下会不会有问题?即如果我使用systemC,我可能会得到非常慢,耗电,大型设计的结果。 建议SystemC的人提到,好像我在进行“体系结构探索”,这就是为什么他更喜欢Verilog。这到底是什么意思呢?我给人的印象是,体系结构通常是指指令集,而微体系结构是指指令集的实现(因此,微体系结构是执行指令的实际rtl)。那么架构探索是否意味着他认为我正在实现处理器以查看指令集的可行性? 他说,使用SystemC的一个论据是它使用起来容易得多,因为它比HDL具有更高的抽象级别。他还声称,更容易确定正确的设计时间。这在多大程度上是正确的? 非常感谢您的帮助,我有点困惑,发现很难在线获得有关此信息的明确信息。谢谢!
9 verilog  vhdl  design  hdl  systemc 

3
有没有办法在Verilog中有条件地触发编译时错误?
我在verilog中有一个参数化模块,其中的参数是时钟速率和刷新速率,用于计算在重复操作实例之间插入了多少个不活动的周期。但是,设置无法达到的参数非常容易(因为该操作花费了很短的时间,所以重复操作必须在完成之前进行),并且目前设计还没有给出任何反馈在此。 我想知道是否有某种方式可以在综合(或模拟之前的编译)期间触发错误(如果一个局部参数小于另一个局部参数)?也许相当于流行的C / C ++编译时断言黑客。
9 verilog 

3
如何从Verilog生成原理图框图图像文件?
我想创建一个特定的Verilog模块层次结构的示意图,以显示哪些块连接到哪些其他块。与Novas / Springsoft的Debussy / Verdi nschema工具非常相似,或者与许多提供RTL图形设计浏览器的EDA工具一样。 哪些工具区域可用于从verilog或vhdl定义或从其他一些基于文本的输入格式以编程方式绘制原理图?
9 vhdl  verilog 


By using our site, you acknowledge that you have read and understand our Cookie Policy and Privacy Policy.
Licensed under cc by-sa 3.0 with attribution required.